vhdl帶有非同步復位端的D觸發器的模型

2021-05-28 20:37:14 字數 982 閱讀 5952

1樓:匿名使用者

核心zhi

就是reset是用clk來同步,即只dao能在clk的沿到來時專reset。

library ieee;

use ieee.std_logic_1164.all;

use ieee.numeric_std.all;

use ieee.std_logic_unsigned.all;

entity dff_sr is

port(

clk: in std_logic;

rst_n: in std_logic;

din: in std_logic;

qout: out std_logic);

end dff_sr;

architecture str of dff_sr isbegin

process(clk,rst_n)

begin

屬if clk'event and clk = '1' thenif rst_n = '1' then

qout <= '0'

else

qout <= din;

end if;

end if;

end process;

end str;

請大家幫個忙,我用verilog 實現一個帶非同步復位端和使能端的上升沿d觸發器 ,編譯不成功,請挑下錯。。

2樓:匿名使用者

always@(en or posedge clk or negedge reset)

不能既用電平觸發又用邊沿觸發的。

3樓:匿名使用者

時序電路里不應該用非阻塞賦值<=嗎?

還有敏感列表不能既有電平敏感事件en還有邊沿觸發的clk和reset。

4樓:匿名使用者

編譯不成功??看起來沒什麼問題,系統提示什麼錯誤了?

白帶有異味該用什麼藥物 白帶有異味吃什麼藥?

那你可以用七度伴侶啊,我之前白帶就有異味,但是用了這款好了很多呢,而且抑菌效果真的很好。白帶有異味吃什麼藥?一般是有炎症了,這很正常,因為女性的生理結構複雜。去看醫生,做個白帶化驗,對症下藥。還有愛穿緊身褲的人最容易患病,適當穿純棉,寬鬆些的衣褲。藥可說不清楚,也不能亂吃,姐妹,最好去看看哦!你白帶...

跪求小說主角重生後很NB或帶有異能的

1。閻王重生1978 當閻王重生在1978年中國的一個貧困小村莊時,他會不會暈倒?當閻王融合了十幾個世界名人的記憶時,他會不會發狂?且看投胎轉世的閻王如何發家致富,成為新一代的帝王。閻王的至理名言 作弊是成功的踏腳石。閻王的辛酸史 一歲時打漁,兩歲時放炮,三歲時 閻王的羅曼史 寧可殺錯,絕不放過。閻...

過期的食品 帶有異味的食品能不能放入冰箱

過期的食品能放入,但因為它本身已沒有存放的必要了,建議不要在放了,有異味的也能放入冰箱,需要用專門的保鮮盒裝起來,以免影響其它食品味道,建議在冰箱裡放2個檸檬能去除異味哈。最好不要放進去,既然都食品過期了,就該扔掉了。有異味的食品會把冰箱裡其他的食品都弄壞的。過期食品不能放入冰箱 也沒有留存的必要了...